admin管理员组文章数量:1125501
I am trying to use the print topology command in our UVM environment, but I keep getting this error message. The 1st error message is:
xmvlog: *E,NOSYM (testName.svh,50|19): uvm_top could not be found in package uvm_pkg.
What is it that I am missing?
import uvm_pkg::*;
`include "uvm_macros.svh"
class testName extends uvm_test;
function void end_of_elaboration_phase(uvm_phase phase);
super.end_of_elaboration_phase(phase);
uvm_pkg::uvm_top.print_topology();
//I also tried it this way: uvm_top.print_topology();
endfunction:end_of_elaboration_phase
endclass
I am trying to use the print topology command in our UVM environment, but I keep getting this error message. The 1st error message is:
xmvlog: *E,NOSYM (testName.svh,50|19): uvm_top could not be found in package uvm_pkg.
What is it that I am missing?
import uvm_pkg::*;
`include "uvm_macros.svh"
class testName extends uvm_test;
function void end_of_elaboration_phase(uvm_phase phase);
super.end_of_elaboration_phase(phase);
uvm_pkg::uvm_top.print_topology();
//I also tried it this way: uvm_top.print_topology();
endfunction:end_of_elaboration_phase
endclass
Share
Improve this question
edited 2 days ago
toolic
61.8k19 gold badges79 silver badges126 bronze badges
asked 2 days ago
sunbeltsunbelt
712 silver badges5 bronze badges
1 Answer
Reset to default 1You are most likely using the UVM 1800.2-2017 version which deprecated uvm_top. You should add the following to your code.
const uvm_root uvm_top = uvm_root::get()
I haven't checked, but the latest UVM 2020 version might have added it back with a depreciation flag.
本文标签: system verilogHow to print topology in UVMStack Overflow
版权声明:本文标题:system verilog - How to print topology in UVM? - Stack Overflow 内容由网友自发贡献,该文观点仅代表作者本人, 转载请联系作者并注明出处:http://www.betaflare.com/web/1736627116a1945698.html, 本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容,一经查实,本站将立刻删除。
发表评论