admin管理员组

文章数量:1125501

I am trying to use the print topology command in our UVM environment, but I keep getting this error message. The 1st error message is:

xmvlog: *E,NOSYM (testName.svh,50|19): uvm_top could not be found in package uvm_pkg.

What is it that I am missing?

import uvm_pkg::*;
`include "uvm_macros.svh"

class testName extends uvm_test;

function void end_of_elaboration_phase(uvm_phase phase);
    super.end_of_elaboration_phase(phase);
    uvm_pkg::uvm_top.print_topology();
    //I also tried it this way: uvm_top.print_topology(); 
endfunction:end_of_elaboration_phase

endclass

I am trying to use the print topology command in our UVM environment, but I keep getting this error message. The 1st error message is:

xmvlog: *E,NOSYM (testName.svh,50|19): uvm_top could not be found in package uvm_pkg.

What is it that I am missing?

import uvm_pkg::*;
`include "uvm_macros.svh"

class testName extends uvm_test;

function void end_of_elaboration_phase(uvm_phase phase);
    super.end_of_elaboration_phase(phase);
    uvm_pkg::uvm_top.print_topology();
    //I also tried it this way: uvm_top.print_topology(); 
endfunction:end_of_elaboration_phase

endclass
Share Improve this question edited 2 days ago toolic 61.8k19 gold badges79 silver badges126 bronze badges asked 2 days ago sunbeltsunbelt 712 silver badges5 bronze badges
Add a comment  | 

1 Answer 1

Reset to default 1

You are most likely using the UVM 1800.2-2017 version which deprecated uvm_top. You should add the following to your code.

const uvm_root uvm_top = uvm_root::get()

I haven't checked, but the latest UVM 2020 version might have added it back with a depreciation flag.

本文标签: system verilogHow to print topology in UVMStack Overflow